Лабораторна робота №2

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
Не вказано
Кафедра:
Кафедра СКС

Інформація про роботу

Рік:
2014
Тип роботи:
Лабораторна робота
Предмет:
Проектування спеціалізованих комп’ютерних систем

Частина тексту файла

Міністерство освіти та науки України Національний університет ”Львівська політехніка” ІКТА Кафедра СКС  Лабораторна робота №2 з дисципліни «ДОСЛІДЖЕННЯ ТА ПРОЕКТУВАННЯ СПЕЦІАЛІЗОВАНИХ КОМП’ЮТЕРНИХ СИСТЕМ Ч.1» Лабораторна робота №2 Тема роботи: Створення проекту засобами Quartus II на прикладі роботи з світлодіодними індикаторами на лабораторній платі DE0. Мета роботи: навчитися створювати проект в середовищі Quartus II на прикладі роботи із світлодіодними індикаторами навчальної плати DE0. ХІД РОБОТИ: Запускаю Quartus II і вибираєм File->New project wizard.  Вводжу шлях для розміщення проекту та задаю його назву.  У вікні вибору сімейства та кристалу вибираю сімейстов Cyclone III та ПЛІС EP3C16F484C6, яка розміщена на лабораторній платі DE0.  В меню File->New вибираю VHDL file.  У щойно створеному файлі вводжу свій опис поведінки пристрою на мові VHDL або Verilog згідно із завданням і зберігаю файл. Майбутню поведінку пристрою можна створити і просимулювати, заради запобігання помилок, у сторонньому САПР, наприклад Active-HDL компанії Aldec.  Далі створюю новий BDF файл: File->New->Block Diagram/Schematic File. У вікні Project Navigator в закладці files правим кліком на створеному HDL файлі створюєм для нього символ.  У схемному редакторі файла BDF правим кліком по вільному просторі відкривю контекстне меню, у якому я вибираю insert->symbol і вставлюю щойно створений символ, який відповідає мому HDL файлу у схемний редактор.  Завершую редактування в схемному редакторі додаванням вхідних і вихідних пінів і з’єднувальних шин. Для цього використовуються наявні в бібліотеці стандартні елементи (макрофункції пакету Quartus II), які зберігаються в меню Symbol, доступному при відкритому файлі графічного редактора. У вікні Project Navigator в закладці files правим кліком на створеному BDF файлі встановлюю його топ файлом.  Комбінацією клавіш CTRL+K Quartus виконає аналіз і синтез мого проекту, якщо виникають помилки видається відповідне повідомлення. Завдання на даному етапі полягає в проведенні аналізу і синтезу без помилок, коли виникають помилки, тоді необхідно внести поправки в HDL або BDF файл. Коли аналіз і синтез проведений успішно, наступним кроком треба розмістити глобальні входи і виходи проекту на ПЛІС. У вікні Project Navigator в закладці Hierarchy правим кліком на топ файлі вибираю пункт locate->locate in pin planner.  У програмі Pin Planner у вікні All pins можна побачити всі глобальні входи і виходи з ПЛІС, а це 10 виходів на світлодіоди, і один частотний вхід. Для того, щоб проект запрацював на лабораторній платі DE0, потрібно розмістити усі ці входи/виходи так, як вони описані в документації до лабораторної плати (дивіться рисунок 2 та таблиці 1 і 2, які подані нижче). Прив’язка глобального входу/виходу до фізичного входу/виходу ПЛІС відбувається у вкладці Location, де вибирається глобальний вхід/вихід - навпроти глобального входу/виходу. Також, у відповідності до документації на лабораторну плату, необхідно зазначити рівень сигналу навпроти кожного входу/виходу у вкладці I/O Standard. Необхідний стандарт сигналу - 3.3 V LVTTL. Щоб не прописувати для кожного сигналу необхідний стандарт вольтажу вручну, можна задати його за замовчуванням, для цього потрібно виконати такі дії в головному меню пакету Quartus II: Assignments->Device…-> Device and Pin Options…->Voltage, та у рядку Default I/O standard вибрати необхідний вольтаж (3.3 V LVTTL).  Після закінчення розміщення входів/виходів, закриваєм Pin Planner і проводжу повну генерацію проекту комбінацією CTRL+L. Результатом роботи повної генерації проекту є бінарний SOF файл для безпосереднього завантаження в ПЛІС. Для завантаження в ПЛІС створеного проекту запускаю відповідну програму завантаження Tools->programmer.  У вікні завантажувача натискаю кнопку Hardware Setup і вибираю спосіб завантаження через USB кабель.  При натисканні кнопки Start починається процес програмування ПЛІС. Після завершення програмування ПЛІС, ...
Антиботан аватар за замовчуванням

15.12.2014 21:12

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини